The search functionality is under construction.

Keyword Search Result

[Keyword] low power(376hit)

141-160hit(376hit)

  • A Transmitting and Receiving System Using a Basic One-Chip Microcomputer for Extremely Low Power Radio Communication

    Shuhei SONODA  Hiroyuki ARAI  

     
    PAPER-Devices/Circuits for Communications

      Vol:
    E91-B No:9
      Page(s):
    2883-2888

    Often, the major requisites of short-range communication systems are low power consumption and low cost, rather than high data-transmission speeds. This paper proposes low-cost and extremely low-power radio communication devices that use a basic one-chip microcomputer for short-range transmission and reception. In the proposed transmitter, a rectangular wave is generated at external I/O ports as carrier by the basic one-chip microcomputer and is then filtered and radiated by an antenna circuit. In the proposed receiver, the received signal is detected by a radio IC and is subsequently digitally processed by a microcomputer with a built-in A/D converter. The proposed transmitter and receiver are demonstrated, and the system performance is experimentally evaluated.

  • A 12-bit 3.7-Msample/s Pipelined A/D Converter Based on the Novel Capacitor Mismatch Calibration Technique

    Shuaiqi WANG  Fule LI  Yasuaki INOUE  

     
    PAPER-Electronic Circuits and Systems

      Vol:
    E91-A No:9
      Page(s):
    2465-2474

    This paper proposes a 12-bit 3.7-MS/s pipelined A/D Converter based on the novel capacitor mismatch calibration technique. The conventional stage is improved to an algorithmic circuit involving charge summing, capacitors' exchange and charge redistribution, simply through introducing some extra switches into the analog circuit. This proposed ADC obtains the linearity beyond the accuracy of the capacitor match and verifies the validity of reducing the nonlinear error from the capacitor mismatch to the second order without additional power dissipation through the novel capacitor mismatch calibration technique. It is processed in 0.5 µm CMOS technology. The transistor-level simulation results show that 72.6 dB SNDR, 78.5 dB SFDR are obtained for a 2 V Vpp 159.144 kHz sine input sampled at 3.7 MS/s. The whole power dissipation of this ADC is 33.4 mW at the power supply of 5 V.

  • Current-Mode Transceiver with Nonfeedback Clock Recovery Capability for Mobile Applications

    Takefumi YOSHIKAWA  Tetsuhiro OGINO  Makoto NAGATA  

     
    PAPER

      Vol:
    E91-C No:9
      Page(s):
    1453-1462

    The novel low-power and low-EMI-noise current-mode data transceiver described here, which has a multilevel current driver in the transmitter (TX) and a low-input impedance I-V converter in the receiver (RX). No-feedback clock recovery in the RX is achieved by using multi-levels of a driving current from TX to specify a single bit boundary. The I-V converter suppresses voltage swing in the transmission line and generates a multi-level voltage signal according to the level of the submilliampere driving current it receives. Measurement shows a small voltage swing ( 20 mV) with 150-µA and 450-µA drive currents at 625 Mbps. The simple clock-recovery system and low driving current allow the transceiver to operate with a single 1.5-V power supply and use only 3.5 mW at 625 Mbps.

  • Realization of Low Power High-Speed Channel Filters with Stringent Adjacent Channel Attenuation Specifications for Wireless Communication Receivers

    Jimson MATHEW  R. MAHESH  A.P. VINOD  Edmund M-K. LAI  

     
    PAPER-Digital Signal Processing

      Vol:
    E91-A No:9
      Page(s):
    2564-2570

    Finite impulse response (FIR) filtering is the most computationally intensive operation in the channelizer of a wireless communication receiver. Higher order FIR channel filters are needed in the channelizer to meet the stringent adjacent channel attenuation specifications of wireless communications standards. The computational cost of FIR filters is dominated by the complexity of the coefficient multipliers. Even though many methods for reducing the complexity of filter multipliers have been proposed in literature, these works focused on lower order filters. This paper presents a coefficient-partitioning-based binary subexpression elimination method for realizing low power FIR filters. We show that the FIR filters implemented using proposed method consume less power and achieve speed improvement compared to existing filter implementations. Design examples of the channel filters employed in the Digital Advanced Mobile Phone System (D-AMPS) and Personal Digital Cellular (PDC) receivers show that the proposed method achieved 23% average reductions of full adder and power consumption and 23.3% reduction of delay over the best existing method. Synthesis results show that the proposed method offers average area reduction of 8% and power reduction of 22% over the best known method in literature.

  • Logic and Layout Aware Level Converter Optimization for Multiple Supply Voltage

    Liangpeng GUO  Yici CAI  Qiang ZHOU  Xianlong HONG  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E91-A No:8
      Page(s):
    2084-2090

    Multiple supply voltage (MSV) is an effective scheme to achieve low power. Recent works in MSV are based on physical level and aim at reducing physical overheads, but all of them do not consider level converter, which is one of the most important issues in dual-vdd design. In this work, a logic and layout aware methodology and related algorithms combining voltage assignment and placement are proposed to minimize the number of level converters and to implement voltage islands with minimal physical overheads. Experimental results show that our approach uses much fewer level converters (reduced by 83.23% on average) and improves the power savings by 16% on average compared to the previous approach [1]. Furthermore, the methodology is able to produce feasible placement with a small impact to traditional placement goals.

  • Design of Low Power Track and Hold Circuit Based on Two Stage Structure

    Takahide SATO  Isamu MATSUMOTO  Shigetaka TAKAGI  Nobuo FUJII  

     
    PAPER

      Vol:
    E91-C No:6
      Page(s):
    894-902

    This paper proposes a low power and high speed track and hold circuit (T/H circuit) based on the two-stage structure. The proposed circuit consists of two internal T/H circuits connected in cascade. The first T/H circuit converts an input signal into a step voltage and it is applied to the following second T/H circuit which drives large load capacitors and consumes large power. Applying the step voltage to the second T/H circuit prevents the second T/H circuit from charging and discharging its load capacitor during an identical track phase and enables low power operation. Thanks to the two-stage structure the proposed T/H circuit can save 29% of the power consumption compared with the conventional one. An optimum design procedure of the proposed two stage T/H circuit is explained and its validity is confirmed by HSPICE simulations.

  • 55-mW, 1.2-V, 12-bit, 100-MSPS Pipeline ADCs for Wireless Receivers

    Tomohiko ITO  Daisuke KUROSE  Takeshi UENO  Takafumi YAMAJI  Tetsuro ITAKURA  

     
    PAPER

      Vol:
    E91-C No:6
      Page(s):
    887-893

    For wireless receivers, low-power 1.2-V 12-bit 100-MSPS pipeline ADCs are fabricated in 90-nm CMOS technology. To achieve low-power dissipation at 1.2 V without the degradation of SNR, the configuration of 2.5 bit/stage is employed with an I/Q amplifier sharing technique. Furthermore, single-stage pseudo-differential amplifiers are used in a Sample-and-Hold (S/H) circuit and a 1st Multiplying Digital-to-Analog Converter (MDAC). The pseudo-differential amplifier with two-gain-stage transimpedance gain-boosting amplifiers realizes high DC gain of more than 90 dB with low power. The measured SNR of the 100-MSPS ADC is 66.7 dB at 1.2-V supply. Under that condition, each ADC dissipates only 55 mW.

  • Extended MPEG Video Format for Efficient Dynamic Voltage Scaling

    Kwanhu BANG  Sung-Yong BANG  Eui-Young CHUNG  

     
    LETTER-VLSI Design Technology and CAD

      Vol:
    E91-A No:5
      Page(s):
    1283-1287

    We present an extended MPEG video format for efficient Dynamic Voltage Scaling (DVS). DVS technique has been widely researched, but the execution time variation of a periodic task (i.e. MPEG decoding) is still a challenge to be tackled. Unlike previous works, we focus on the data (video stream) rather than the execution code to overcome such limitation. The proposed video format provides the decoding costs of frames to help the precise prediction of their execution times at client machines. The experimental results show that the extended format only increases the data size less than 1% by adding about 10 bits representing the decoding cost of each frame. Also, a DVS technique adjusted for the proposed format achieves 90% of efficiency compared to the oracle case, while keeping the run time overhead of the technique negligible.

  • A 41 mW VGA@30 fps Quadtree Video Encoder for Video Surveillance Systems

    Qin LIU  Seiichiro HIRATSUKA  Kazunori SHIMIZU  Shinsuke USHIKI  Satoshi GOTO  Takeshi IKENAGA  

     
    PAPER

      Vol:
    E91-C No:4
      Page(s):
    449-456

    Video surveillance systems have a huge market, as indicated by the number of installed cameras, particularly for low-power systems. In this paper, we propose a low-power quadtree video encoder for video surveillance systems. It features a low-complexity motion estimation algorithm, an application-specific ME-MC processor, a dedicated quadtree encoder engine and a processor control-based clock-gating technique. A chip capable of encoding 30 fps VGA (640480) at 80 MHz is fabricated using 0.18 µm CMOS technology. A total of 153 K gates with 558 kbits SRAM have been integrated into a 5.0 mm3.5 mm die. The power consumption is 40.87 mW at 80 MHz for VGA at 30 fps and 1.97 mW at 3.3 MHz for QCIF at 15 fps.

  • A New Scan Power Reduction Scheme Using Transition Freezing for Pseudo-Random Logic BIST

    Youbean KIM  Kicheol KIM  Incheol KIM  Hyunwook SON  Sungho KANG  

     
    LETTER-Computer Components

      Vol:
    E91-D No:4
      Page(s):
    1185-1188

    This paper presents a new low power BIST TPG scheme for reducing scan transitions. It uses a transition freezing and melting method which is implemented of the transition freezing block and a MUX. When random test patterns are generated from an LFSR, transitions of those patterns satisfy pseudo-random Gaussian distribution. The proposed technique freezes transitions of patterns using a freezing value. Experimental results show that the proposed BIST TPG schemes can reduce average power reduction by about 60% without performance loss and peak power by about 30% in ISCAS'89 benchmark circuits.

  • A Sub 100 mW H.264 MP@L4.1 Integer-Pel Motion Estimation Processor Core for MBAFF Encoding with Reconfigurable Ring-Connected Systolic Array and Segmentation-Free, Rectangle-Access Search-Window Buffer

    Yuichiro MURACHI  Junichi MIYAKOSHI  Masaki HAMAMOTO  Takahiro IINUMA  Tomokazu ISHIHARA  Fang YIN  Jangchung LEE  Hiroshi KAWAGUCHI  Masahiko YOSHIMOTO  

     
    PAPER

      Vol:
    E91-C No:4
      Page(s):
    465-478

    We describe a sub 100-mW H.264 MP@L4.1 integer-pel motion estimation processor core for low power video encoder. It supports macro block adaptive frame field (MBAFF) encoding and bi-directional prediction for a resolution of 19201080 pixels at 30 fps. The proposed processor features a novel hierarchical algorithm, reconfigurable ring-connected systolic array architecture and segmentation-free, rectangle-access search window buffer. The hierarchical algorithm consists of a fine search and a coarse search. A complementary recursive cross search is newly introduced in the coarse search. The fine search is adaptively carried out, based on an image analysis result obtained by the coarse search. The proposed systolic array architecture minimizes the amount of transferred data, and lowers computation cycles for the coarse and fine searches. In addition, we propose a novel search window buffer SRAM that has instantaneous accessibility to a rectangular area with arbitrary location. The processor core has been designed with a 90 nm CMOS design rule. Core size is 2.52.5 mm2. One core supports one-reference-frame and dissipates 48 mW at 1 V. Two core configuration consumes 96 mW for two-reference-frame search.

  • MTR-Fill: A Simulated Annealing-Based X-Filling Technique to Reduce Test Power Dissipation for Scan-Based Designs

    Dong-Sup SONG  Jin-Ho AHN  Tae-Jin KIM  Sungho KANG  

     
    LETTER-Dependable Computing

      Vol:
    E91-D No:4
      Page(s):
    1197-1200

    This paper proposes the minimum transition random X-filling (MTR-fill) technique, which is a new X-filling method, to reduce the amount of power dissipation during scan-based testing. In order to model the amount of power dissipated during scan load/unload cycles, the total weighted transition metric (TWTM) is introduced, which is calculated by the sum of the weighted transitions in a scan-load of a test pattern and a scan-unload of a test response. The proposed MTR-fill is implemented by simulated annealing method. During the annealing process, the TWTM of a pair of test patterns and test responses are minimized. Simultaneously, the MTR-fill attempts to increase the randomness of test patterns in order to reduce the number of test patterns needed to achieve adequate fault coverage. The effectiveness of the proposed technique is shown through experiments for ISCAS'89 benchmark circuits.

  • Race-Free Mixed Serial-Parallel Comparison for Low Power Content Addressable Memory

    Seong-Ook JUNG  Sei-Seung YOON  

     
    LETTER-VLSI Design Technology and CAD

      Vol:
    E91-A No:3
      Page(s):
    895-898

    This letter presents a race-free mixed serial-parallel comparison (RFMSPC) scheme which uses both serial and parallel CAMs in a match line. A self-reset search line scheme for the serial CAM is proposed to avoid the timing race problem and additional timing penalties. Various 32 entry CAMs are designed using 90 nm 1.2 V CMOS process to verify the proposed RFMSPC scheme. It shows that the RFMSPC saves power consumption by 40%, 53% and 63% at the cost of a 4%, 6% and 16% increase in search time according to 1, 2, and 4 serial CAM bits in a match line.

  • Self-Resetting Level-Conversion Flip-Flops with Direct Output Feedback for Dual-Supply SoCs

    Joo-Seong KIM  Bai-Sun KONG  

     
    LETTER-Electronic Circuits

      Vol:
    E91-C No:2
      Page(s):
    240-243

    This paper describes novel CMOS level-conversion flip-flops for use in low-power SoCs with clustered voltage scaling. These flip-flops feed outputs directly into the front stage to support self-resetting and conditional operations. They thus have simple structures to avoid clock level shifting and redundant transitions, leading to substantial improvements in terms of power and area. The comparison results indicate that the proposed level-conversion flip-flops achieve power and area savings up to 50% and 31%, respectively, with no speed degradation as compared to conventional level-conversion flip-flops.

  • A 12 b 200 kS/s 0.52 mA 0.47 mm2 Algorithmic A/D Converter for MEMS Applications

    Young-Ju KIM  Hee-Cheol CHOI  Seung-Hoon LEE  Dongil "Dan" CHO  

     
    PAPER-Electronic Circuits

      Vol:
    E91-C No:2
      Page(s):
    206-212

    This work describes a 12 b 200 kS/s 0.52 mA 0.47 mm2 ADC for sensor applications such as motor control, 3-phase power control, and CMOS image sensors simultaneously requiring ultra-low power and small size. The proposed ADC is based on the conventional algorithmic architecture with a recycling signal path to optimize sampling rate, resolution, chip area, and power consumption. The input SHA with eight input channels employs a folded-cascode amplifier to achieve a required DC gain and a high phase margin. A 3-D fully symmetric layout with critical signal lines shielded reduces the capacitor and device mismatch of the multiplying D/A converter while switched-bias power-reduction circuits minimize the power consumption of analog amplifiers. Current and voltage references are integrated on chip with optional off-chip voltage references for low glitch noise. The down-sampling clock signal selects the sampling rate of 200 kS/s and 10 kS/s with a further reduced power depending on applications. The prototype ADC in a 0.18 µm n-well 1P6M CMOS process demonstrates a maximum measured DNL and INL within 0.40 LSB and 1.97 LSB and shows a maximum SNDR and SFDR of 55 dB and 70 dB at all sampling frequencies up to 200 kS/s, respectively. The ADC occupies an active die area of 0.47 mm2 and consumes 0.94 mW at 200 kS/s and 0.63 mW at 10 kS/s with a 1.8 V supply.

  • A New Low-Power 13.56-MHz CMOS Ring Oscillator with Low Sensitivity of fOSC to VDD

    Felix TIMISCHL  Takahiro INOUE  Akio TSUNEDA  Daisuke MASUNAGA  

     
    PAPER

      Vol:
    E91-A No:2
      Page(s):
    504-512

    A design of a low-power CMOS ring oscillator for an application to a 13.56 MHz clock generator in an implantable RFID tag is proposed. The circuit is based on a novel voltage inverter, which is an improved version of the conventional current-source loaded inverter. The proposed circuit enables low-power operation and low sensitivity of the oscillation frequency, fOSC, to decay of the power supply VDD. By employing a gm-boosting subcircuit, power dissipation is decreased to 49 µW at fOSC=13.56 MHz. The sensitivity of fOSC to VDD is reduced to -0.02 at fOSC=13.56 MHz thanks to the use of composite high-impedance current sources.

  • Constant Magnetic Field Scaling in Inductive-Coupling Data Link

    Daisuke MIZOGUCHI  Noriyuki MIURA  Hiroki ISHIKURO  Tadahiro KURODA  

     
    PAPER-Electronic Circuits

      Vol:
    E91-C No:2
      Page(s):
    200-205

    A wireless transceiver utilizing inductive coupling has been proposed for communication between chips in system in a package. This transceiver can achieve high-speed communication by using two-dimensional channel arrays. To increase the total bandwidth in the channel arrays, the density of the transceiver should be improved, which means that the inductor size should be scaled down. This paper discusses the scaling theory based on a constant magnetic field rule. By decreasing the chip thickness with the process scaling of 1/α, the inductor size can be scaled to 1/α and the data rate can be increased by α. As a result, the number of aggregated channels can be increased by α2 and the aggregated data bandwidth can be increased by α3. The scaling theory is verified by simulations and experiments in 350, 250, 180, and 90 nm CMOS.

  • A Patterned Preamble MAC Protocol for Wireless Sensor Networks

    Inwhee JOE  

     
    LETTER-Wireless Communication Technologies

      Vol:
    E91-B No:2
      Page(s):
    658-661

    In this paper, we propose a novel MAC protocol with the patterned preamble technique to improve performance in terms of low power, channel utilization, and delay in wireless sensor networks. B-MAC is one of typical MAC protocols for wireless sensor networks using the duty cycle in order to achieve low-power operation. Since it works in an asynchronous fashion, B-MAC employs extended preamble and preamble sampling techniques. Even if it has outstanding performance in idle state, the overhead of these techniques is very large when packets are sent and received, because there is a lot of waste in the traditional preamble method. Instead of the simple preamble, our proposed MAC solution is to introduce more intelligent preamble with some patterns consisting of 2 phases (Tx phase & Ack phase). With this concept we implement real source code working on the mica2 platform with Tinyos-1.x version. Also, the test set-up is presented, and the test results demonstrate that the proposed protocol provides better performance in terms of delay compared to B-MAC.

  • An ILP Approach to the Simultaneous Application of Operation Scheduling and Power Management

    Shih-Hsu HUANG  Chun-Hua CHENG  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E91-A No:1
      Page(s):
    375-382

    At the behavioral level, large power savings are possible by shutting down unused operations, which is commonly referred to as power management. However, operation scheduling has a significant impact on the potential for power saving via power management. In this paper, we present an integer linear programming (ILP) model to formally formulate the simultaneous application of operation scheduling and power management in high level synthesis. Our objective is to maximize the power saving under both the timing constraints and the resource constraints. Note that our approach guarantees solving the problem optimally. Compared with previous work, experimental data consistently show that our approach has significant relative improvement in the power savings.

  • Dual-Level LVDS Technique for Reducing Data Transmission Lines by Half in LCD Driver IC's

    Doo-Hwan KIM  Sung-Hyun YANG  Kyoung-Rok CHO  

     
    PAPER-Electronic Circuits

      Vol:
    E91-C No:1
      Page(s):
    72-80

    This paper proposes a dual-level low voltage differential signaling (DLVDS) circuit aimed at low power consumption and reducing transmission lines for LCD driver IC's. We apply two-bit binary data to the DLVDS circuit as inputs, and then the circuit converts these two inputs into two kinds of fully differential signal levels. In the DLVDS circuit, two transmission lines are sufficient to transfer two-bit binary inputs while keeping the conventional LVDS features. The receiver recovers the original two-bit binary data through a level decoding circuit. The proposed circuit was fabricated using a commercial 0.25 µm CMOS technology. Under a 2.5 V supply voltage, the circuit shows a data rate of 1-Gbps/2-line and power consumption of 35 mW.

141-160hit(376hit)